数字集成电路设计与分析.doc
《数字集成电路设计与分析.doc》由会员分享,可在线阅读,更多相关《数字集成电路设计与分析.doc(17页珍藏版)》请在咨信网上搜索。
问答: Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net A Design: top Reference: ADD DFF Cell: U1 U2 Port: A B clk sum Pin: A B D Q Net: A B SIN Set_load 5 [get_nets A] why do we not choose to operate all our digital circuits at these low supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管阈值)的变化就变得越来越敏感 3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感) 问道题: 1. CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管 2. 什么是亚阈值电流,当减少VT时,VGS =0时的亚阈值电流是增加还是减少? 3. 什么是速度饱和效应 4. CMOS电压越低,功耗就越少?是不是数字电路电源电压越低越好,为什么? 5. 如何减少门的传输延迟? P203 6. CMOS电路中有哪些类型的功耗? 7. 什么是衬垫偏置效应。 8. gate-to-channel capacitance CGC,包括哪些部分 VirSim有哪几类窗口 3-6. Given the data in Table 0.1 for a short channel NMOS transistor with VDSAT = 0.6 V and k′=100 µA/V2, calculate VT0, γ, λ, 2|φf|, and W / L: 解答: 对于短沟道器件: 在选择公式的时候,首先要确定工作区域,表格中的所有VDS均大于VDSAT,所以不可能工作在线性区域。如果工作在饱和区域则: VT 应该满足 : VGS-VT<VDSAT 2-VT<0.6 1.4<VT 这是不可能的,所以可以假设所有的数据都是工作在速度饱和区域 所以: 由 1&2 () 所以 1,2,3是在速度饱和区 由 2&3 由 2&4 1297/1146=[(2-Vt0)x0.6-o.62/2]/[(2-Vt)x0.6-0.62/2] Vt=0.587V 由 2 &5 Vt=0.691V 这两个值都满足 Vt<1.4, 所以表中的数据都是工作的速度饱和状态 由4 &5 和 可以计算出 和 得到 W/L=1.5 3-7 Given Table 0.2 ,the goal is to derive the important device parameters from these data points. As the measured transistor is processed in a deep-submciron technology, the‘unified model’ holds. From the material constants, we also could determine that the saturation voltage VDSAT equals -1V. You may also assume that -2ΦF = -0.6V. NOTE: The parameter values on Table 3.3 do NOT hold for this problem. a. Is the measured transistor a PMOS or an NMOS device? Explain your answer. b. Determine the value of VT0. c. Determine γ. d. Determine λ. e. Given the obtained answers, determine for each of the measurements the operation region of the transistor (choose from cutoff, resistive, saturated, and velocity saturated). Annotate your finding in the right-most column of the above. 解答: a) 这是 PMOS 器件 b) 比较各表中 的值知道1,4为工作在速度饱和状态 由 1&4 Vt0=0.5V c) 由 1&5和上面求出的Vt0的值: 1,5工作在速度饱和区域 则: (-84.375)/(-72.0)=[(-2.5-Vt0)*(-1)-12/2]/[(-2.5-Vt)*(-1)-12/2] 求出Vt,代入下面公式: 求出: γ=0.538V1/2 d)由 1&6,因为1,6均工作在速度饱和区域: λ=0.05V-1 e)1-vel. Sat, 2-cutoff, 3-saturation , 4-5-6 vel. Sat, 7-linear 3-8 An NMOS device is plugged into the test configuration shown below in Figure 0.4. The input Vin =2V. The current source draws a constant current of 50 µA. R is a variable resistor that can assume values between 10kΩ and 30 kΩ. Transistor M1 experiences short channel effects and has following transistor parameters: k’ = 110*10-6 V/A2, VT = 0.4 , and VDSAT = 0.6V. The transistor has a W/L = 2.5µ/0.25µ. For simplicity body effect and channel length modulation can be neglected. i.e λ=0, γ=0. . a. When R =10kΩ find the operation region, VD and VS. b. When R= 30kΩ again determine the operation region VD, VS c. For the case of R = 10kΩ, would VS increase or decrease if λ ≠ 0. Explain qualitatively 解答: 1)当 R=10k, VD=VDD-IR VD=2.5-50x10-6x104=2.5-0.5=2V 假设器件工作在饱和区 ( 需要以后验证)则: =0.3V 所以 VGS=0.3+0.4=0.7V VS=2-0.7=1.3V Vmin=min(VGS-Vt, VDSAT, VDS)=min(0.3,0.6,0.7)=VGS-Vt 所以是饱和区 VD=2V VS=1.3V saturation operation b) VD=2.5-30x103x50x10-6=2.5-1.5=1V assume linear op: Min(VGS-VT,VDS,VDSAT)=min((1-0.93-0.4).0.07,0)=VDS SO linear c) increase , R = 10kΩ R变化,则VD必须变化以保持电流稳定, 试图增加电流,而为了恒定电流值,VGS必须减小,即VS必须增加 1、(10)P137 Assume an inverter in the generic 0.25 mm CMOS technology designed with a PMOS/NMOS ratio of 3.4 and with the NMOS transistor minimum size (W = 0.375 mm, L = 0.25 mm, W/L =1.5). VM = 1.25 V, please compute VIL, VIH, NML, NMH . the process parameters is presented in table1 由此可以得到 VIL, VIH, NML, NMH: 因为VIH=VM-VM/g , VIL=VM+(VDD-VM)/g NMH=VDD-VIH , NML=VIL VIL=1.2V, VIH=1.3V, NML=NMH=1.2 5.3、For the inverter of Figure 1 and an output load of 3 pF,at Vout=2.5V, IDVsat=0.439mA, at Vout=1.25V, IDvsat=0.41mA fig 1 a. Calculate tplh, tphl, and tp. b. Are the rising and falling delays equal? Why or why not? 解答: tpLH =0.69RLCL= 155 nsec. 对于 tpHL:首先计算 Ron for Vout at 2.5V and 1.25V. 因为 Vout=2.5V, IDVsat=0.439mA 所以 Ron= 5695W 当 Vout=1.25V, IDvsat=0.41m 所以Ron= 3049W. 这样, Vout=2.5Vand Vout=1.25V 之间的平均电阻 Raverage=4.372kW. tpLH=0.69RaverageCL=9.05nsec. tp=av{tpLH, tpHL}=82.0nsec b. Are the rising and falling delays equal? Why or why not? Solution tpLH >> tpHL 因为 RL=75kW 远大于有效线性电阻 effective linearized on-resistance of M1. 5-5 The next figure shows two implementations of MOS inverters. The first inverter uses only NMOS transistors. Calculate VOH, VOL, VM for each case. 有的参数参考表1 解答: 电路 A. VOH: 当 M1关掉, M2 的阈值是: 当下面条件满足的时候,M2将关闭: 所以 VOUT=VOH=1.765V VOL: 假设VIN=VDD=2.5V. 我们期望 VOUT 为低, 因此我们可以假设M2工作在速度饱和区,而M1工作在线性区域. 因为 ID1= ID2 , 所以 VOUT=VOL=0.263V, 假设成立 VM: 当VM=VIN=VOUT. 假设两晶体管均工作在速度饱和区域, 我们得到下面两个方程: 设 ID1=ID2, 得到 VM=1.269V 电路 B. 当 VIN=0V, NMOS 关掉,PMOS 打开,并把VOUT拉到VDD, so VOH=2.5. 同样, 当 VIN=2.5V, the PMOS关掉,NMOS 把 VOUT拉到地, 所以VOL=0V. 为了计算 VM : VM=VIN=VOUT. 假设两晶体管均工作在速度饱和区域,可以得到下面两组方程. 设 ID3+ ID2 =0 ,可以得到r VM = 1.095V. 所以假设两晶体管均工作在速度饱和区域是正确的. 5-7 Consider the circuit in Figure 5.5. Device M1 is a standard NMOS device. Device M2 has all the same properties as M1, except that its device threshold voltage is negative and has a value of -0.4V. Assume that all the current equations and inequality equations (to determine the mode of operation) for the depletion device M2 are the same as a regular NMOS. Assume that the input IN has a 0V to 2.5V swing. ( VDSAT=0.63v) a. Device M2 has its gate terminal connected to its source terminal. If VIN = 0V, what is the output voltage? In steady state, what is the mode of operation of device M2 for this input? b. Compute the output voltage for VIN = 2.5V. You may assume that VOUT is small to simplify your calculation. In steady state, what is the mode of operation of device M2 for this input? 解答 a 当 VIN = 0V , M1则关掉. M2开, 因为 VGS=0 > VTn2.所以没有电流通过 M2, M2的源漏电压等于0,故M2工作在线性区域,所以VOUT=2.5V. Solution b 假设 M1工作在线性区域, M2工作在速度饱和区域,这就意味: 因为Vout很小,所以可以忽略V2out/2,所以可以得到 因此我们的假设是合理的。 5-15 Sizing a chain of inverters. a. In order to drive a large capacitance (CL = 20 pF) from a minimum size gate (with input capacitance Ci = 10fF), you decide to introduce a two-staged buffer as shown in Figure , Assume that the propagation delay of a minimum size inverter is 70 ps. Also assume that the input capacitance of a gate is proportional to its size. Determine the sizing of the two additional buffer stages that will minimize the propagation delay. b. If you could add any number of stages to achieve the minimum delay, how many stages would you insert?What is the propagation delay in this case? 解答a : 当每个buffer的延迟相等的时候,可以得到最小延迟时间.此时每个buffer的尺寸系数分别为 f, f2 解答 b: 最小延迟时间发生在 f = e的时候,因此 6-1 Implement the equation using complementary CMOS. Size the devices so that the output resistance is the same as that of an inverter with an NMOS W/L = 2 and PMOS W/L = 6. Which input pattern(s) would give the worst and best equivalent pull-up or pull-down resistance? 解答:因为 最坏的上拉电阻发生在,只有一个通路存在output node to Vdd. 如: ABCDEFG=1111100 and 0101110. 最好的上拉电阻发生在: ABCDEFG=0000000. 最坏的下拉电阻发生在,只有一个通路存在output node to GND. 如: ABCDEFG=0000001 and 0011110. 最好的下拉电阻发生在: ABCDEFG=1111111. 5章 Assume an inverter in the generic 0.25 m CMOS technology designed with a PMOS/NMOS ratio of 3.4 and with the NMOS transistor minimum size (W = 0.375 mm, L = 0.25 mm, W/L =1.5). Please compute VIL, VIH, NML, NMH the process parameters is presented in table1 解:我们首先计算在VM (= 1.25 V)的增益 所以: VIL=1.2V, VIH=1.3V, NML= NMH=1.2 1.How to deduce that the propagation delay of a gate ? p203 o Keep capacitances(CL) small o Increase transistor sizes(W/L) o Increase VDD (see figure 5.22) 减小CL: 增加晶体管的W/L,提高VDD 2.Determine the sizes of the inverters in the circuit of Figure 5.22, such that the delay between nodes Out and In is minimized. You may assume that CL = 64 Cg,1 P210 Figure 5.22, 3. For the circuit of Figure 4.11, assume that a driver with a source resistance of is used to drive a 10 cm long, 1 mm wide Al1 wire. And assume that the total lumped capacitance for this wire equals 11 pF. When applying a step input(with Vin going from 0 to v), please compute the propagation delay of the circuit. P151 Figure 4.11 解答: 4 please analyze intrinsic capacitances of MOSFET transistor ,write out three sources of it, and draw out MOSFET transistor capacitance model. P112 答:基本的MOS结构,沟道电荷以及漏和源反向偏置pn结的耗尽区。电容器件模型如下: 5 .please write out the expression of equivalent resistance Req of the circuit in Figure 1 when (dis)charging a capacitor. Assuming that the supply voltage VDD is substantially greater than the velocity-saturation voltage VDSAT of the transistor. the channel-length modulation factor ()cannot be ignored in this analysis, are known parameters . P105 解答: Program 1. please write out verilog code and test bench for a 4 bit up-counter Module counter (clk, reset, enable,count); Input clk, reset, enable; Output[3:0] count; Reg[3:0] count; Always @ (posedge clk) If (reset==1’b1) Count <=0; Else if (enable==1’b1) Count <=count +1; Endmodule Module counter_tb; Reg clk, reset, enable; Wire[3:0] count; Counter U0(clk, reset, enable, count); Initial Begin Clk=0; Reset=0; Enable=0; End Always #5 clk=!clk; initial begin $monitor($time, , , “clk=%d reset=%d enable=%d count=%d”, clk,reset,enable,count); #100 $finish end endmodule 2. please write out verilog code and test bench for a bit full adder Module addbit (a, b, ci ,sum, co ); Input a,b,ci; Output sum.co; Wire a,b,ci,sum,co; Assign {co,sum}=a+b+ci ; Endmodule module test_for_addbit; reg a, b, ci ; addbit u1(a, b, ci ,sum, co); initial begin a = 0; b = 0; ci=0; #10 a = 0; b = 0; ci=1; #10 a = 0; b = 1; ci=0; #10 a = 0; b = 1; ci=1; #10 a = 1; b = 0; ci=0; #10 a = 1; b = 0; ci=1; #10 a = 1; b = 1; ci=0; #10 a = 1; b = 1; ci=1; #10 $finish; end initial $monitor( $time, “ a=%b b=%b ci=%b sum=%b co=%b”, a,b,ci,sum, co ); endmodule 3.please write out verilog code and test bench for 4-1 MUX module mux (a,b,c,d,sel,y); input a,b,c,d ; input[1 :0]sel ; output y; reg y; always @ (a or b or c or d or sel) case (sel) o: y=a; 1:y=b; 2: y=c; 3 : y=d ; Default :$display(“error in sel ») ; Endcase Endmodule module test_for_mux; reg a,b,c,d,sel; // 调用DUT mux u1(a,b,c,d,sel,y); // 产生测试激励信号 initial begin a = 0; b = 1; c=0;d=0;sel = 01; #10 a = 1;b=0;sel=00; #10 c = 1;a=0; sel=10; #10 c=0;d=1;sel=11; #10 a = 1;b=0;sel=01; #10 c = 1;a=0; sel=11; #10 $finish; end // 检测输出信号 initial $monitor( $time, “ a=%b b=%b c=%b sel=%b y=%b”, a,b,c,d,sel,y ); endmodule 4 please write out verilog code and test bench for a 4 bit half adder Module adder (a,b,sum,carry) Input[3:0] a,b; Output[3:0]sum; Output carry; Reg[3:0] sum; Reg carry; Always @ ( a or b) Begin {carry, sum}=a+b; End Endmodule module test_for_adder; reg[3:0] a, b; // 调用DUT adder u1(a,b,sum,carry); // 产生测试激励信号 initial begin a = 4’b0000; b = 4’b000 1; #10 a = 4’b0001; b = 4’b100 1; #10 a = 4’b0010; b = 4’b010 1; #10 a = 4’b0100; b = 4’b100 1; #10 a = 4’b1000; b = 4’b110 1; #10 a = 4’b1001; b = 4’b111 1; #10 a = 4’b1100; b = 4’b1010; #10 a = 4’b1101; b = 4’b0011; #10 $finish; end // 检测输出信号 initial $monitor( $time, “ a=%b b=%b sum=%b carry=%b”, a,b, sum, carry); Endmodule- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 数字 集成电路设计 分析
咨信网温馨提示:
1、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
2、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,个别因单元格分列造成显示页码不一将协商解决,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
3、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
4、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【pc****0】。
5、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
6、文档遇到问题,请及时私信或留言给本站上传会员【pc****0】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。
1、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
2、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,个别因单元格分列造成显示页码不一将协商解决,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
3、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
4、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【pc****0】。
5、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
6、文档遇到问题,请及时私信或留言给本站上传会员【pc****0】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。
关于本文